CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl CLOCK

搜索资源列表

  1. led

    0下载:
  2. 用一个按钮开关循环控制四个led灯的闪烁方式,输入时钟10MHz,闪烁频率1Hz-Button switch with a four cycle control lights flashing led the way, the input clock 10MHz, blinking frequency of 1Hz
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:553
    • 提供者:liyinghe
  1. clk

    0下载:
  2. 通过一个主时钟信号完成异步FIFO读写时钟信号的产生。编译通过实现功能。-Through a master clock signal the completion of asynchronous FIFO read and write clock signal generation. Compiler through the implementation function.
  3. 所属分类:OS Develop

    • 发布日期:2017-04-25
    • 文件大小:30061
    • 提供者:ouping
  1. clock

    0下载:
  2. vhdl 电子钟 计时 上下午 整点报时-VHDL Electronics afternoon bell time on the whole point timekeeping
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1152436
    • 提供者:章鱼
  1. AIC

    2下载:
  2. 使用FPGA/CPLD设置语音AD、DA转换芯片AIC23,FPGA/CPLD系统时钟为24.576MHz 1、AIC系统时钟为12.288MHz,SPI时钟为6.144MHz 2、AIC处于主控模式 3、input bit length 16bit output bit length 16bit MSB first 4、帧同步在96KHz-The use of FPGA/CPLD set voice AD, DA conversion chip AIC23, FPGA/
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-13
    • 文件大小:1582
    • 提供者:张键
  1. sysfp

    0下载:
  2. 完成从SDH telecom bus的38Mhz*4系统时钟和复帧提取出SDH的telecom bus的C1j1,spe,au指针 ,H4位置等SDH帧结构-SDH telecom bus from 38Mhz* 4 the system clock and rehabilitation SDH frame to extract the telecom bus of C1j1, spe, au pointer, H4 location SDH frame structure
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-03-26
    • 文件大小:895
    • 提供者:leon
  1. barrel_shifter

    1下载:
  2. VHDL实现的桶型移位器,能在一个时钟周期实现对数据的(0-12位)算术右移-VHDL implementation of a barrel—shifter, able to achieve at one clock cycle of data (0-12 bit) Arithmetic Shift Right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:810
    • 提供者:过时无双
  1. TLC549

    0下载:
  2. TLC548和TLC549是以8位开关电容逐次逼近A/D转换器为基础而构造的CMOS A/D转换器。它们设 计成能通过3态数据输出和模拟输入与微处理器或外围设备串行接口。TLC548和TLC549仅用输入/输出时 钟(I/O CLOCK) 和芯片选择(CS) 输入作数据控制。TLC548的最高I/O CLOCK输入频率为2.048MHz, 而TLC549的I/O CLOCK输入频率最高可达1.1MHz。 有关与大多数通用微处理器接口的详细资料已由工厂 准备好,可供使用。-TLC5
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:711464
    • 提供者:ysy593
  1. DES_IP

    0下载:
  2. 有效的改进3-DES算法的执行速度,采用了多级流水线技术,设计了一种高速的硬件结构,使得原来需要48个时钟周期才能完成的运算,现在只需要一个时钟周期就可以完成。另外通过增加输入/输出的控制信号。使得该IP可以方便的集成到SOC中,大大缩短了SOC的设计周期。-Effective 3-DES algorithm to improve the implementation of speed, multi-stage pipeline technology, designed a high-speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:23417
    • 提供者:charity
  1. LVDS_DDR_List_FPGA2

    1下载:
  2. FPGA芯片与ADI公司的AD9779之间的通信,总共有四个通道,68对LVDS,采样时钟是122.88MHz-FPGA chips ADI' s AD9779 and communication between, a total of four channels, 68 pairs of LVDS, the sampling clock is 122.88MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:808607
    • 提供者:linpingping
  1. clock

    0下载:
  2. vhdl实现时钟和闹钟功能,此外还可以显示星期几,闹钟可以设置闹铃时间和报时。-implementation vhdl alarm clock and also can show a few weeks, you can set the alarm time and alarm time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:748415
    • 提供者:季全
  1. CLK_DIV

    0下载:
  2. 爱用硬件描述语言VHDL实现输入时钟10分频输出-divide CLOCK by 10 using VHDL
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-14
    • 文件大小:3144
    • 提供者:陈绪文
  1. asynFifo

    0下载:
  2. 异步fifo在IC设计中,非常重要;是异步时钟域同步方法-Asynchronous fifo in IC design, is very important are asynchronous clock domain synchronization
  3. 所属分类:OS Develop

    • 发布日期:2017-04-11
    • 文件大小:1462
    • 提供者:leng
  1. fpga.fifo

    1下载:
  2. 异步FIFO是用来适配不同时钟域之间的相位差和频率飘移的重要模块。本文设计的异步FIFO采用了格雷(GRAY)变换技术和双端口RAM实现了不同时钟域之间的数据无损传输。该结构利用了GRAY变换的特点,使得整个系统可靠性高和抗干扰能力强,系统可以工作在读写时钟频率漂移达到正负300PPM的恶劣环境。并且由于采用了模块化结构,使得系统具有良好的可扩充性。-Asynchronous FIFO is an important module which always used to absorb the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:82017
    • 提供者:雷志
  1. ADC0809VHDL

    0下载:
  2. 文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Descr ipt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1155
    • 提供者:王远东
  1. shizhong

    0下载:
  2. 数字钟的VHDL源程序,可以实现校时,校分等功能,并在试验箱上运行成功-The VHDL source code digital clock, you can achieve at school, school grade features, and success in the chamber is running on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:310103
    • 提供者:zhaozheng
  1. spi_op_core

    1下载:
  2. SPI协议的Verilog编程,包括时钟的产生模块,控制模块等-Verilog programming SPI protocol, including the selection of the clock module, control module, etc.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:82627
    • 提供者:zhangyi
  1. zhushaoyong

    0下载:
  2. 设计并制作一个14键单音电子琴预先存入一些曲谱电路在4Hz的时钟控制下自动播放 通过220V电源适配器给电路提供工作电源-Design and production of a 14-key electric piano tone into a number of music scores advance in 4Hz clock circuit under the control of automatic play through 220V power adapter to provide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:490862
    • 提供者:邱颖
  1. Time

    1下载:
  2. 24小时时钟设计程序,含有时,分,秒的电路设计,基于VHDL语言,用Quartus 2程序实现。-24-hour clock design process, with hour, minute, second circuit design, based on the VHDL language, using Quartus 2 program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-17
    • 文件大小:383172
    • 提供者:张苏昕
  1. clock

    0下载:
  2. 60进制计数器,采用十分简便的方法,能够很快速的完成计数功能。-60 M-ary counter, using a very simple way to very quickly complete the count function.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-26
    • 文件大小:429755
    • 提供者:黎明
  1. e3

    0下载:
  2. 4位可逆计数器:将50MHz的时钟进行 分频后的结果作为时钟控制,根据输入进行条件判断,再通过设置一个四位的向量将结果输出,利用数码管显示在实验板上-CNTR 4: will be conducted at 50MHz clock frequency as the clock after the control conditions to determine the basis of inputs, and then set up a four through the results of th
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:151884
    • 提供者:evelyn
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 48 »
搜珍网 www.dssz.com